abclinuxu.cz AbcLinuxu.cz itbiz.cz ITBiz.cz HDmag.cz HDmag.cz abcprace.cz AbcPráce.cz
Inzerujte na AbcPráce.cz od 950 Kč
Rozšířené hledání
×
    dnes 11:44 | Zajímavý software

    NiceGUI umožňuje používat webový prohlížeč jako frontend pro kód v Pythonu. Zdrojové kódy jsou k dispozici na GitHubu pod licencí MIT.

    Ladislav Hagara | Komentářů: 1
    dnes 10:55 | Nová verze

    Open source platforma Home Assistant (Demo, GitHub, Wikipedie) pro monitorování a řízení inteligentní domácnosti byla vydána ve verzi 2024.6. Z novinek lze vypíchnout lepší integraci LLM (OpenAI, Google AI, Ollama) nebo podporu Matter 1.3.

    Ladislav Hagara | Komentářů: 0
    včera 20:55 | IT novinky

    IKEA ve Spojeném království hledá zaměstnance do své nové pobočky. Do pobočky v počítačové hře Roblox. Nástupní mzda je 13,15 liber na hodinu.

    Ladislav Hagara | Komentářů: 0
    včera 10:44 | Zajímavý článek

    Alyssa Rosenzweig se v příspěvku na svém blogu Vulkan 1.3 na M1 za 1 měsíc rozepsala o novém Vulkan 1.3 ovladači Honeykrisp pro Apple M1 splňujícím specifikaci Khronosu. Vychází z ovladače NVK pro GPU od Nvidie. V plánu je dále rozchodit DXVK a vkd3d-proton a tím pádem Direct3D, aby na Apple M1 s Asahi Linuxem běžely hry pro Microsoft Windows.

    Ladislav Hagara | Komentářů: 30
    včera 01:00 | Nová verze

    Byla vydána (𝕏) květnová aktualizace aneb nová verze 1.90 editoru zdrojových kódů Visual Studio Code (Wikipedie). Přehled novinek i s náhledy a animovanými gify v poznámkách k vydání. Ve verzi 1.90 vyjde také VSCodium, tj. komunitní sestavení Visual Studia Code bez telemetrie a licenčních podmínek Microsoftu.

    Ladislav Hagara | Komentářů: 0
    včera 00:44 | Nová verze

    Byla vydána (Mastodon, 𝕏) nová verze 2024.2 linuxové distribuce navržené pro digitální forenzní analýzu a penetrační testování Kali Linux (Wikipedie). Přehled novinek se seznamem nových nástrojů v oficiálním oznámení.

    Ladislav Hagara | Komentářů: 0
    5.6. 16:44 | IT novinky

    Počítačová hra Tetris slaví 40 let. Alexej Pažitnov dokončil první hratelnou verzi 6. června 1984. Mezitím vznikla celá řada variant. Například Peklo nebo Nebe. Loni měl premiéru film Tetris.

    Ladislav Hagara | Komentářů: 10
    5.6. 10:44 | Nová verze

    MicroPython (Wikipedie), tj. implementace Pythonu 3 optimalizovaná pro jednočipové počítače, byl vydán ve verzi 1.23.0. V přehledu novinek je vypíchnuta podpora dynamických USB zařízení nebo nové moduly openamp, tls a vfs.

    Ladislav Hagara | Komentářů: 0
    5.6. 10:22 | Nová verze

    Canonical vydal Ubuntu Core 24. Představení na YouTube. Nová verze Ubuntu Core vychází z Ubuntu 24.04 LTS a podporována bude 12 let. Ubuntu Core je určeno pro IoT (internet věcí) a vestavěné systémy.

    Ladislav Hagara | Komentářů: 2
    5.6. 01:00 | Nová verze Ladislav Hagara | Komentářů: 0
    Rozcestník
    Štítky: není přiřazen žádný štítek


    Vložit další komentář
    16.1.2013 19:37 dumblob | skóre: 10 | blog: dumblog
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA
    Diky moc za kvalitni clanek. Velice rad si prectu pokracovani - musim si po roce zase ozivit zaklady hardware :-).
    17.1.2013 12:31 xxxxxxxxxxx | skóre: 13 | blog: rhrtshrth
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA
    Co kdyby jsi se pustil do PLC a nějak to založit na linuxu? :-)
    vlastikroot avatar 17.1.2013 20:54 vlastikroot | skóre: 24 | blog: vlastikovo | Milevsko
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA
    Neni problem koupit industry rated board a dat na nej linux. Jen rozhrani nebude stejne jako na PLC (tzn ty standardizovane logicke, relatkove a zdrojakove). Bude to ale jakykoli oblibeny jazyk - python, c/c++, whatever.
    We will destroys the Christian's legion ... and the cross, will be inverted
    Dreit avatar 17.1.2013 21:51 Dreit | skóre: 15 | blog: Dreit a jeho dračí postřehy | Královehradecký kraj
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA

    BASH :-D

    Nope
    18.1.2013 07:46 hw | skóre: 23 | blog: Digital Design
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA

    Netvrdím, že vytvořit open-source PLC na úrovni současných komerčních je nereálné, ale není to až tak daleko od pravdy. Jenom náklady na vývoj hardware, firmware pro PLC a vývojové prostředí pro PC budou obrovské. Nedovedu si dost dobře představit z čeho by se to financovalo.

    vlastikroot avatar 18.1.2013 08:03 vlastikroot | skóre: 24 | blog: vlastikovo | Milevsko
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA
    Hlavne to neni moc k nicemu dobre.
    We will destroys the Christian's legion ... and the cross, will be inverted
    18.1.2013 09:56 xxxxxxxxxxx | skóre: 13 | blog: rhrtshrth
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA
    že by na stroje? :-)
    Jendа avatar 18.1.2013 19:30 Jendа | skóre: 78 | blog: Jenda | JO70FB
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA
    Bystroushaak avatar 20.1.2013 19:41 Bystroushaak | skóre: 36 | blog: Bystroushaakův blog | Praha
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA
    Jen se zeptám, abych v tom měl jasno: dělal jsi někdy s PLC? Tím myslím, programoval, jsi je, nebo jsi je prostě nějak komerčně používal?
    21.1.2013 16:50 xxxxxxxxxxx | skóre: 13 | blog: rhrtshrth
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA
    Nad stroji co dělám běží povětšinou nějaký ty PLCčka od Siemence, ale neprogramím to. Já dělám návrhy mechanických věcí a nad tím běžej tyhle věci STROJ =PLC+Čidla+Pneumatika+Mechanika. :-)
    21.1.2013 17:25 ::: | skóre: 14 | blog: e_lama
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA
    existuje pro fpga nejaky free (as in beer) toolchain?

    Nasel jsem treba icarus verilog, ale nepredpokladam ze by dokazal vygenerovat bitstream pro konkretni fpga a nahrat ho...

    Potom xilinx ma webpack, ale jestli to spravne chapu tak zvlada jenom nejaky maly fpga...

    21.1.2013 21:47 hw | skóre: 23 | blog: Digital Design
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA

    Všichni výrobci FPGA nabízejí vždy nějakou trochu omezenou verzi svých vývojových prostředí zdarma. Rozhodně bych si nedovolil říci, že podporují pouze malé obvody. V dnešní době to jsou větší a rychlejší FPGA než byl ještě před pár lety high-end.

    Vývojovým prostředím se budu věnovat v příští části.

    23.1.2013 04:13 pc2005 | skóre: 38 | blog: GardenOfEdenConfiguration | liberec
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA
    Pokud si chceš hrát s FPGA, tak je pro tebe webpack ideální (je určen právě hobbystům IMHO). Na ty velký bys stejně neměl ;-) (nebo by to byl hooodně drahej koníček), navíc bys musel vyvíjet opravdu náročnou aplikaci, abys pak využil aspoň nějakou významnou část toho FPGA.

    Co se týče ostatních prostředí, tak mají většinou drsnou konkurenci v podobě prostředích odvýrobců FPGA a jejich vývoj je výrazně omezen NDA informacemi (buď, že je dostanou a pak nemůžou dát databázi open, nebo je nemají :-( ). Ale četl jsem různé vědecké články, kde se psalo o jiných P&R než od výrobce. Poslední fáze a to překlad P&R databáze do bitstreamu má minimální až nulovou šanci na existenci, protože to je to čím může výrobce ovládat trh (a velmi znepříjemnit číňaňům reverzní inženýrství :-) ). Ale nějaké pokusy snad byly.

    Klíčová slova: OpenPR, Debit, ReCoBus, JBits (+reference v článcích toto popisujících).
    23.1.2013 11:40 ::: | skóre: 14 | blog: e_lama
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA
    ja jsem si chtel naprogramovat grafickej akcelerator a nevim jestli se me to vejde do fpga s 1000 LUTs... :-)
    24.1.2013 00:36 pc2005 | skóre: 38 | blog: GardenOfEdenConfiguration | liberec
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA
    Co všechno by měl akcelerovat?
    24.1.2013 16:05 ::: | skóre: 14 | blog: e_lama
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA
    kresleni trojuhelniku. Idealne s programovatelnou pipeline (neco jako shadery v opengl).
    25.1.2013 02:05 pc2005 | skóre: 38 | blog: GardenOfEdenConfiguration | liberec
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA
    Tak jeden dva trojúhelníky by to nakreslit mohlo :-). Každopádně na pořádný GPU bys stejně potřeboval PCI připojení (dneska už asi PCIe) a to tuším třeba ani ty nejnižší Xilinx obvody řady 7 nemaj.

    Jinak o grafiku se už někdo snažil, ale v té době to imho ještě neuzrálo. Dneska by už snad ty FPGA měly být dostatečně levný.
    24.1.2013 08:13 hw | skóre: 23 | blog: Digital Design
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA

    A co třeba 200000 LUT? WebPack podporuje třeba XC7K160T se 162240 LUT a 202800 FF nebo XC7A200T s 215360 LUT a 269200 FF. Nestačí? Stejně už to jsou obvody s kusovou cenou někde kolem $300-$500, takže tam asi nebude problém zaplatit si roční licenci ISE/Vivado za $3000-$5000 podle edice.

    24.1.2013 16:16 ::: | skóre: 14 | blog: e_lama
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA
    s 2e5 lut uz by mohlo jit neco udelat. Budu muset nainstalovat webpack a podivat se co vsechno podporuje.

    jinak ta kusova cena neni zrovna nizka, ale porad celkem prijatelna pro jednotlivce.

    $5000 za sw licenci na rok pro moc lidi prijatelny nebude... Pokud je to pro firmu ktera dela stovky kusu tak je to samozrejme neco jinyho...
    24.1.2013 19:52 hw | skóre: 23 | blog: Digital Design
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA

    Obvody, které WebPack podporuje jsou uvedeny zde.

    $5000 ročně za licenci je poměrně přijatelná cena. Roční mzdové náklady na jednoho zaměstnance s dvojnásobkem průměrné mzdy v ČR jsou přibližně 800 000 Kč. My třeba kromě jiného používáme vývojové prostředí Xilinx, Altera, k tomu ModelSim a Altium Designer, to je dohromady asi tak 400 000 Kč ročně za licence. Pořád je to jenom polovina mzdových nákladů na jednoho junior vývojáře.

    24.1.2013 21:24 ::: | skóre: 14 | blog: e_lama
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA
    $5000 ročně za licenci je poměrně přijatelná cena.
    Zalezi jak pro koho... :-)
    Roční mzdové náklady na jednoho zaměstnance s dvojnásobkem průměrné mzdy v ČR jsou přibližně 800 000 Kč. My třeba kromě jiného používáme vývojové prostředí Xilinx, Altera, k tomu ModelSim a Altium Designer, to je dohromady asi tak 400 000 Kč ročně za licence. Pořád je to jenom polovina mzdových nákladů na jednoho junior vývojáře.
    To chapu ze zamestnanec vyjde na milion rocne. Ale jak rikam, je rozdil jestli to pouziva ve firme, kde si na sebe videla, nebo doma pro zabavu, kde neceka zisk.

    V druhym pripade je $500 akceptovatelna cena, $5000 ne. Aspon teda pro me...

    25.1.2013 01:56 pc2005 | skóre: 38 | blog: GardenOfEdenConfiguration | liberec
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA
    A teď si představ, kdyby ty tooly byly GPL (to by byl masakr finanční boost :-D).
    25.1.2013 08:45 hw | skóre: 23 | blog: Digital Design
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA

    Nechci rozpoutávat žádnou šílenou nikam nevedoucí diskusi, protože to nemám rád a mám na práci důležitější věci, ale tohle mi nedá. Mám open-source rád, používám open-source nástroje všude, kde to má význam, ale tady to opravdu nechápu.

    U vývojových nástrojů potřebuji v první řadě podporu a to dokonce dvojí. Jednak potřebuji zákaznickou podporu od dodavatele, za kterou bych platil obdobné částky jako za komerční licence teď, takže finanční úspora by byla nulová. Dále potřebuji slušnou podporu všech vlastností hardware. To není možné zajistit, pokud by GNU nástroje vyvíjel někdo jiný než výrobce společně s vývojem hardware. Již při návrhu křemíku FPGA se myslí na použitelnost a implementovatelnost ve vývojových nástrojích. Tak jako tak, zveřejněním zdrojových kódů implementačních nástrojů nebo detailních specifikací nutných pro vývoj takových nástrojů vyhodím milióny člověkohodin práce z okna. Prostě je daruji konkurenci. Tady se nejedná o softwarové patenty jak má vypadat nějaký debilní dialog nebo jak mohou být zakulacené rohy nesmyslného zařízení. Tady se jedná o miliardy investované do skutečného výzkumu a vývoje včetně základního.

    Na druhou stranu u front-endu nikdo nikomu ve vývoji nebrání. Proč tedy už neexistuje množství dokonalých open-source nástrojů? Vždyť veškeré potřebné specifikace jsou volně dostupné. Znám jediného člověka, který v tomhle směru skutečně něco dokázal a to je Jan Decaluwe se svým MyHDL. Podobné je to se simulátory. V podstatě jediný použitelný open-source HDL simulátor je Icarus Verilog, který ale nepodporuje SystemVerilog. VHDL simulátory FreeHDL a GHDL jsou prakticky nepoužitelné. A to nemluvím třeba o smíšené simulaci.

    26.1.2013 05:34 pc2005 | skóre: 38 | blog: GardenOfEdenConfiguration | liberec
    Rozbalit Rozbalit vše Re: Programovatelná logika II: FPGA
    No on ten můj komentář měl být jen takovej vtípek, nikam nevedoucí diskuze taky nemám rád. Problém ale je, že nemůžeš předem říct, že ta diskuze nikam nepovede ;-).
    Jednak potřebuji zákaznickou podporu od dodavatele, za kterou bych platil obdobné částky jako za komerční licence teď, takže finanční úspora by byla nulová.
    No sice nevím jaké problémy potřebuješ vyřešit, ale co jsem se koukal na fórum Xilinxu tak tam byl kotel dotazů, který je způsoben blobovitostí a uzavřeností jejich vývojových toolů (u opensource by ty chyby hledaly tisíce očí).
    Dále potřebuji slušnou podporu všech vlastností hardware.
    Jo to byly ty druhý dotazy na fóru, které se často zaobírali sillicon errata (což GPL nevyřeší). To všech vlastností ale není pravda, protože já bych třeba chtěl udělat place and router FPGA běžícího přímo na tom FPGA. Pochybuju ale o tom, že by jejich placená podpora byla schopna ořezat par a map tak, aby se to vůbec vešlo do RAM na vývojové desce ;-).
    Tak jako tak, zveřejněním zdrojových kódů implementačních nástrojů nebo detailních specifikací nutných pro vývoj takových nástrojů vyhodím milióny člověkohodin práce z okna.
    No přesto to Xilinx umožňuje. Syntézu není problém implementovat plně opensource a Xilinx toolům cpát standardizované netlisty. Vlastní MAP a PAR jde udělat v zásadě taky.

    Problém je, že u PARu IMHO blokuje Xilinx svým skoromonopolním (duopol?) postavením konkurenci použitím malé uzavřené databáze, přičemž konkurentní prostředí může používat pouze obrovité texťáky v dialektu lispu :-D. Kdyby bylo třeba sto výrobců FPGA s velmi podobnými vlastnostmi, tak prostě člověk přejde jinam. Zde to ale nejde. Xilinx tedy IMHO brzdí základní výzkum ostatních tím, že jim znemožňuje/znepříjemňuje jejich výzkum uzavřeností dokumentace (ledaže by byl ochoten dát NDA vlastně všem zájemcům - všichni by věděli všechno, ale nesměli by se o tom spoli bavit? :-D).

    Navíc bych řekl, že porovnání potenciálních člověkohodin ostatních výzkumníků (masa) vůči výzkumníkům (špičkovým) Xilinxu je ve finále ve prospěch té otevřenosti mase.
    Prostě je daruji konkurenci.
    V případě GPL tomu tak není, pokud by se bralo to "darovat", tak pokud provede konkurence úpravu a distribuuje, tak musí "darovat" zpět, jinak je žalovatelná.

    Osobně bych samozřejmě nechtěl něco jako masky křemíku (na co taky, RepRap to stejně ještě neumí stisknout :-D), ale úplně by mě stačil logický popis všech registrů a jakou mají funkci. Když si kupuju PIC, ATMEGu, tak taky budu čekat, že budu schopen napsat program (ve strojovém kódu třeba), co bliká ledkou na výstupu. Datasheet je dnes už samozřejmost a Microchip stále prosperuje (OT: dokonce jsem si přes kámoše koupil jedno z nejnadupanějších PIC v DIL pouzdře a ještě jsem ho nepoužil :-D). Zajímavý, že u FPGA to nefunguje (a je to prakticky taky programovatelný prvek).

    Co se týče miliard investovaných do výzkumu ne-hardwarové části, tak se mě zdá, že výsledek neodpovídá ceně :-( (nepodporované jazyky, pomalá implementace, nemožnost sledovat proměnné v simulátoru!).
    Na druhou stranu u front-endu nikdo nikomu ve vývoji nebrání. Proč tedy už neexistuje množství dokonalých open-source nástrojů?
    Protože na jeho použití si potřebuje uživatel koupit full nebo použít osekanou verzi firemního backendu. [joke] No vlastně se ani nedivím, že se do toho nikomu nechce. VHDL a Verilog jsou šílené jazyky :-D. [/joke]

    Jinak ten problém není ani tak o těch frond-endech, jako o bitgenu. Já klidně budu navrhovat hardware bit po bitu v Poznámkovém bloku (na GALu jsem to i dělal :-D), jenom bych nejdřív musel vědět, kterej bit to je.

    Založit nové vláknoNahoru

    Tiskni Sdílej: Linkuj Jaggni to Vybrali.sme.sk Google Del.icio.us Facebook

    ISSN 1214-1267   www.czech-server.cz
    © 1999-2015 Nitemedia s. r. o. Všechna práva vyhrazena.